choojoykin #9421 13 января 2017 вообще когда проходил джавараш (на 25+ лвл бросил где-то), да и после уже, стыдился особо что-то писать в топане, ибо мне казалось что здесь все пиздец какие шаристые сидят, типа суеза, а я лох (да и сейчас пока лох), а тут вот люди смотрю снимки в инстик ебашат с джавараша с задачами про систем аут принтлн вижу звон, да не знаю нахуй мне это надо? этот снимок узкоспециализированный рофел для очень узких кругов лельвообще надло начинат ьс java8 SE и не ебать мозги ни мало ни много, а много и мало Поделиться сообщением Ссылка на сообщение
Lorde - Sober ll #9422 13 января 2017 когда двапой был тут все пиздец какие шаристые сиделисейчас потеряное поколение, разве что нахуй тут шлют как завещали отцы-основатели have courage and be kind 😈🫀💋 🩸👣🤌🏿🦄 🐝✨ ⚡️ ☄️❣️ 💕 💞❤️😈 💖 💖 💖 💖 💖 💖 💖 💖 💖 💖 💖 💖 💖 💖 💖 💖 💖 💖 💖 💖 💖 💖 💖 💖 💖 💖 💖 💖 💖 💖 💖 💖 💖 💖 💖 💖 💖 💖 💖 💖 Поделиться сообщением Ссылка на сообщение
CriErr #9423 13 января 2017 может и так, но двапой уебок, так что я полагаю и остальные шарящие были тоже мудакамиа нахуй такие шарящие нужны то. Поделиться сообщением Ссылка на сообщение
fessnik #9424 13 января 2017 может и так, но двапой уебок, так что я полагаю и остальные шарящие были тоже мудакамиа нахуй такие шарящие нужны то.Ну хз времена когда двапой был двапоем я тут не застал, а вот когда двапой был камусом застал, нормальный чувак, который шарит, может что-то обьяснить или подсказать. Так что я б скорей назвал уебком тебя, а не его. Lorde - Sober ll понравилось это Поделиться сообщением Ссылка на сообщение
CriErr #9425 13 января 2017 может и так, но двапой уебок, так что я полагаю и остальные шарящие были тоже мудакамиа нахуй такие шарящие нужны то.Ну хз времена когда двапой был двапоем я тут не застал, а вот когда двапой был камусом застал, нормальный чувак, который шарит, может что-то обьяснить или подсказать. Так что я б скорей назвал уебком тебя, а не его. я застал все времена, но не следил когда двапой был двапоема когда он был камусом он изливал желчь на все что было в зоне видимостии то что он так когда то кому то помогал, под настроение, не меняет того что все остальное время он уебок. Поделиться сообщением Ссылка на сообщение
nikitosdoubletoss #9426 13 января 2017 ебашил, ебашил джавараш до нового года, дошел до 4или5 лвл и чет приуныл и перестал (в новом году еще не разу не занимался)вот такая грустная историяспасибо за вниманиеНачни свой проект хуярить, только учится и делать задачки онли из уроков заебует. А вот сделать свой проект, пусть простой и с говнокодом внутри, но свой должно быть интересно. Я делал личный кабинет ( авторизация/регистрация/смена пароля/профиль) + простой каталог товара + добавление в корзину/удаление из корзины. После того как запилил такую хероту, я почуствовал, что не совсем дурак и пошел по собеседованиям, устроился в одну из контор и пошло-поехало Спасибо за совет. Вообще мне нужен одностраничник, вот думаю с него и начать. Поделиться сообщением Ссылка на сообщение
Milky_Way #9427 13 января 2017 значит скоро еще один html-программист подъедет я знаю точно что все телки которые красивые и которые любят ебаться, разбираются в часах Но у меня нету часов, и хуевая ауди поэтому приходится дома дрочить Поделиться сообщением Ссылка на сообщение
Cantona.Eric #9428 13 января 2017 Палю топ контент Дэфрэл, DeadMage, Stenateksta и 3 другим понравилось это Я не человек, Я - Кантона. (с) Miraxes#2986 Поделиться сообщением Ссылка на сообщение
DDamager #9429 13 января 2017 было бы неплохо если бы тот набор слов еще имел логический смысл Поделиться сообщением Ссылка на сообщение
m()stEVIL #9430 13 января 2017 Подхожу сёдня к преподу в универе говорю можно ли к вам записаться на диплом , хочу запилить веб-приложение но конкретно еще не определился какое именно.Он такой отвечает ну у меня есть одна идея там клиентскую часть на jquery написать можно , но придётся много с базами данных возиться ибо серверную часть придётся на VHDL писать. Загуглил я что такое VHDL ( 1983 года язык описания аппаратуры оказывается ) . Ну и думаю что всё , пиздец круто я попал . Так вот хочу спросить как преподу (странному) вбить в бошку что это устаревшая поебота и сказать что есть более современные серверные языки, которые ныне актуальны. Идею с темой может кто подкинет , буду рад.Жаль что я проебался по времени и возможности записаться к другим преподам более адекватным уже нету, так что придётся оставаться у этого. Поделиться сообщением Ссылка на сообщение
Cantona.Eric #9431 13 января 2017 Моделирование логической операции and library IEEE; use IEEE.STD_LOGIC_1164.all; entity example_std is port(x1 : in std_logic_vector(8 downto 0); y1 : out std_logic_vector(8 downto 0)); end example_std; architecture str of example_std is constant w1 : std_logic_vector := ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-'); begin y1 <= x1 and w1; end str; library IEEE; use IEEE.STD_LOGIC_1164.all; entity test_example_std is end; architecture beh of test_example_std is component example_std port(x1 : in std_logic_vector(8 downto 0); y1 : out std_logic_vector(8 downto 0)); end component; signal x1, y1 : std_logic_vector (8 downto 0); begin p0 : example_std port map (x1, y1); x1 <= ('U','U','U','U','U','U','U','U','U'), ('X','X','X','X','X','X','X','X','X') after 50 ns, ('0','0','0','0','0','0','0','0','0') after 100 ns, ('1','1','1','1','1','1','1','1','1') after 150 ns, ('Z','Z','Z','Z','Z','Z','Z','Z','Z') after 200 ns, ('W','W','W','W','W','W','W','W','W') after 250 ns, ('L','L','L','L','L','L','L','L','L') after 300 ns, ('H','H','H','H','H','H','H','H','H') after 350 ns, ('-','-','-','-','-','-','-','-','-') after 400 ns; end beh; Я не человек, Я - Кантона. (с) Miraxes#2986 Поделиться сообщением Ссылка на сообщение
ElGobedano #9432 13 января 2017 Когда двапой был под мейном он просто слал нахуй , под камусом был super toxic user Поделиться сообщением Ссылка на сообщение
fessnik #9433 13 января 2017 Когда двапой был под мейном он просто слал нахуй , под камусом был super toxic user Так это ж продота ) Хотя особой желчи я не заметил, ну она была к некоторым юзерам, которые нахуй не нужны в этом топане, ну типа сокола Поделиться сообщением Ссылка на сообщение
ElGobedano #9434 13 января 2017 там была чисто чсвшная кодерская желчь ) Поделиться сообщением Ссылка на сообщение
Akimosik #9435 13 января 2017 (изменено) Подхожу сёдня к преподу в универе говорю можно ли к вам записаться на диплом , хочу запилить веб-приложение но конкретно еще не определился какое именно.Он такой отвечает ну у меня есть одна идея там клиентскую часть на jquery написать можно , но придётся много с базами данных возиться ибо серверную часть придётся на VHDL писать. Загуглил я что такое VHDL ( 1983 года язык описания аппаратуры оказывается ) . Ну и думаю что всё , пиздец круто я попал . Так вот хочу спросить как преподу (странному) вбить в бошку что это устаревшая поебота и сказать что есть более современные серверные языки, которые ныне актуальны. Идею с темой может кто подкинет , буду рад.Жаль что я проебался по времени и возможности записаться к другим преподам более адекватным уже нету, так что придётся оставаться у этого. у меня на дот.нет есть курсач. Веб-сайт Полиграфического агентства . Там даже как в паинте можно было вдвоём "макеты" рисовать. Если чё пиши, за 5 звёзд подгоню Изменено 13 января 2017 пользователем Akimosik Поделиться сообщением Ссылка на сообщение
fessnik #9436 13 января 2017 (изменено) Подхожу сёдня к преподу в универе говорю можно ли к вам записаться на диплом , хочу запилить веб-приложение но конкретно еще не определился какое именно.Он такой отвечает ну у меня есть одна идея там клиентскую часть на jquery написать можно , но придётся много с базами данных возиться ибо серверную часть придётся на VHDL писать. Загуглил я что такое VHDL ( 1983 года язык описания аппаратуры оказывается ) . Ну и думаю что всё , пиздец круто я попал . Так вот хочу спросить как преподу (странному) вбить в бошку что это устаревшая поебота и сказать что есть более современные серверные языки, которые ныне актуальны. Идею с темой может кто подкинет , буду рад.Жаль что я проебался по времени и возможности записаться к другим преподам более адекватным уже нету, так что придётся оставаться у этого. Скажи, что хочешь делать на современном языке, а на этой хуете даже работы не найти и она щас нахуй не нужна, попробуй нагуглить статью vhdl vs python\php\go\another lang Изменено 13 января 2017 пользователем fessnik Поделиться сообщением Ссылка на сообщение
Trenzalor #9437 13 января 2017 Парни,а где ошибка?Вроде легкое задания найти максимальное значения массива,но у меня оно что-то неправильно ищет.Пробовал определения массива в цыкл запихнуть,все равно плохо работает. #include int main (){int i,j;int array [3][3];int max = array[0][0];for (i=0;i<3;i++){for (j=0;j<3;j++){printf ("array[%d][%d] = ",i,j);scanf ("%d",&array[j]);if (array[j]>max)max = array [j];}}printf ("max.element massiva = %d",max);return 0;} Поделиться сообщением Ссылка на сообщение
Stenateksta #9438 13 января 2017 (изменено) Нет, я всегда знал, что фессник долбоеб, но чтоб настолько. Подхожу сёдня к преподу в универе говорю можно ли к вам записаться на диплом , хочу запилить веб-приложение но конкретно еще не определился какое именно.Он такой отвечает ну у меня есть одна идея там клиентскую часть на jquery написать можно , но придётся много с базами данных возиться ибо серверную часть придётся на VHDL писать. Загуглил я что такое VHDL ( 1983 года язык описания аппаратуры оказывается ) . Ну и думаю что всё , пиздец круто я попал . Так вот хочу спросить как преподу (странному) вбить в бошку что это устаревшая поебота и сказать что есть более современные серверные языки, которые ныне актуальны. Идею с темой может кто подкинет , буду рад.Жаль что я проебался по времени и возможности записаться к другим преподам более адекватным уже нету, так что придётся оставаться у этого. VDHL - используется для дизайна любой хардвар хуйни и микропроцессоров. Аналог у него грубо говоря только один System Verilog. И он используется и сейчас. Но если ты будешь сайтики клепать и быть ХАТЭЭМЭЛЬ программистом, то ясен хуй он тебе не нужен. А вообще хочешь чему-то научится - меньше слушай долбоебов с этой темы. Особенно уебка с ником фессник, ну еще и феанаро такой же(судя по последним постам). Изменено 13 января 2017 пользователем Stenateksta Поделиться сообщением Ссылка на сообщение
fessnik #9439 13 января 2017 Нет, я всегда знал, что фессник долбоеб, но чтоб настолько. Ты уже обосрался в одном споре со мной, обосрался и "пропал" из топика . Ты больше не интересен, ведь пиздежа много, а фактов ноль Поделиться сообщением Ссылка на сообщение
Stenateksta #9440 13 января 2017 (изменено) Пропал из топика Я просто понял, с нашего "спора"(спора :lol:) про питон два и три, после фразы "Я ЗАПУСТИЛ НА ПЭХЭПЭ 7 какую-то хуйню, которая предназначена только для 5.3"Зашел по ссылке на гитхаб а там что-то типа "php version: 5.3+". Ну я просто понял, что дальше такому долбоебу вообще можно ничего не объяснять.Но да ты победил. Изменено 13 января 2017 пользователем Stenateksta Поделиться сообщением Ссылка на сообщение